5.00
(1 Rating)

FPGA Embedded Design/ Part 1 – Verilog

Wishlist Share
Share Course
Page Link
Share On Social Media
Website Icon

About Course

Learn FPGA Embedded Design with Verilog for free! This comprehensive course covers the essential concepts of FPGA design using Verilog, one of the most widely used Hardware Description Languages. You’ll gain a deep understanding of concurrent programming in Verilog and master the art of designing digital systems.

This course provides a strong foundation in FPGA design, including hardware description languages, digital logic, and system design. You’ll learn how to create and test your own Verilog designs, building a solid foundation in FPGA technology.

This course is ideal for anyone interested in learning about FPGAs, embedded systems, or digital design. Start your journey into the exciting world of FPGA Embedded Design today! This course is completely free and available on Theetay from platforms like Udemy, Udacity, Coursera, MasterClass, NearPeer, and more.

Show More

What Will You Learn?

  • Design hardware behavior with the Verilog Hardware Description Language
  • Simulate Verilog Modules.
  • The curriculum will take you by the hand through learning Verilog.
  • In the series, you'll learn how to simulate your designs, how to make them real in an FPGA, and finally how to design and use your own Soft Processor
  • This first course is about the Verilog Hardware Description Language.
  • This is NOT a System Verilog course. However, learning Verilog is a starting point if you want to learn System Verilog (Similar to learning C prior to C++).

Course Content

Introduction

  • A Message from the Professor
  • Course Structure
    03:26
  • Instructor Introduction
    00:40
  • Motivation Hardware Design
    03:00
  • Motivation CPU Design
    01:27
  • Course Material Download Link
    00:00

Hardware Description Languages

[Optional] Refresher on Digital Circuit Design

The Verilog Hardware Description Language

Software Tutorial

Quick Overview of EDA Playground

Quick Overview of Modelsim

Coding Elements of Verilog

A Combinational System Example

A Sequential System Example

Wrap Up

Earn a certificate

Add this certificate to your resume to demonstrate your skills & increase your chances of getting noticed.

selected template

Student Ratings & Reviews

5.0
Total 1 Rating
5
1 Rating
4
0 Rating
3
0 Rating
2
0 Rating
1
0 Rating
Ahmad Mushtaq
9 months ago
I started this course while studying DLD course in my university. It really helped me through the Verilog programming and Eduardo is really good at explaining the HDL stuff. Much recommended to DLD or DSD students

Want to receive push notifications for all major on-site activities?

×